•  
  •  
 

Turkish Journal of Electrical Engineering and Computer Sciences

DOI

10.3906/elk-2005-50

Abstract

A simultaneous multithreaded (SMT) processor mixes multiple instruction streams in its superscalar out-of-order execution core for higher throughput. To achieve this, a superscalar processor is modified in such a way that someof its resources are duplicated and the rest is shared among multiple threads. The issue queue (IQ), which holds allwaiting instructions until they become ready and scheduled for execution, is among these shared resources. A baselineunmanaged IQ can give an unexpectedly low performance since a hungry thread can tie up most of the IQ entries.This type of scenario is also worse in terms of the fairness metric since some of the threads may experience starvation.Earlier studies propose both static and a limited type of dynamic capping of the IQ entries for regulating IQ traffic andproviding better SMT throughput and fairness. In this study, we propose an e?iciency-based dynamic capping (EDC)algorithm that calculates an efficiency metric for each thread and allocates the IQ entries for maximizing the throughputand the fairness metrics. EDC gives 3.6% better throughput and 3.9% better fairness results compared to the currentstate-of-the-art algorithms, on the average.

Keywords

Simultaneous multithreaded processor, issue queue, resource capping, throughput, fairness

First Page

831

Last Page

844

Share

COinS